Managing Memory for Power, Performance, and Thermal Efficiency

dc.contributor.authorTolentino, Matthew Edwarden
dc.contributor.committeechairCameron, Kirk W.en
dc.contributor.committeememberBeihl, Gary M.en
dc.contributor.committeememberButt, Ali R.en
dc.contributor.committeememberNikolopoulos, Dimitrios S.en
dc.contributor.committeememberRibbens, Calvin J.en
dc.contributor.departmentComputer Scienceen
dc.date.accessioned2014-03-14T20:07:46Zen
dc.date.adate2009-04-08en
dc.date.available2014-03-14T20:07:46Zen
dc.date.issued2009-02-18en
dc.date.rdate2009-04-08en
dc.date.sdate2009-02-24en
dc.description.abstractExtraordinary improvements in computing performance, density, and capacity have driven rapid increases in system energy consumption, motivating the need for energy-efficient performance. Harnessing the collective computational capacity of thousands of these systems can consume megawatts of electrical power, even though many systems may be underutilized for extended periods of time. At scale, powering and cooling unused or lightly loaded systems can waste millions of dollars annually. To combat this inefficiency, we propose system software, control systems, and architectural techniques to improve the energy efficiency of high-capacity memory systems while preserving performance. We introduce and discuss several new application-transparent, memory management algorithms as well as a formal analytical model of a power-state control system rooted in classical control theory we developed to proportionally scale memory capacity with application demand. We present a prototype implementation of this control-theoretic runtime system that we evaluate on sequential memory systems. We also present and discuss why the traditional performance-motivated approach of maximizing interleaving within memory systems is problematic and should be revisited in terms of power and thermal efficiency. We then present power-aware control techniques for improving the energy efficiency of symmetrically interleaved memory systems. Given the limitations of traditional interleaved memory configurations, we propose and evaluate unorthodox, asymmetrically interleaved memory configurations. We show that when coupled with our control techniques, significant energy savings can be achieved without sacrificing application performance or memory bandwidth.en
dc.description.degreePh. D.en
dc.identifier.otheretd-02242009-162329en
dc.identifier.sourceurlhttp://scholar.lib.vt.edu/theses/available/etd-02242009-162329/en
dc.identifier.urihttp://hdl.handle.net/10919/26301en
dc.publisherVirginia Techen
dc.relation.haspartdissertation_final-post-grad-review.pdfen
dc.rightsIn Copyrighten
dc.rights.urihttp://rightsstatements.org/vocab/InC/1.0/en
dc.subjectEnergy Efficiencyen
dc.subjectControl Theoryen
dc.subjectMemory Managementen
dc.subjectOperating Systemsen
dc.titleManaging Memory for Power, Performance, and Thermal Efficiencyen
dc.typeDissertationen
thesis.degree.disciplineComputer Scienceen
thesis.degree.grantorVirginia Polytechnic Institute and State Universityen
thesis.degree.leveldoctoralen
thesis.degree.namePh. D.en

Files

Original bundle
Now showing 1 - 1 of 1
Loading...
Thumbnail Image
Name:
dissertation_final-post-grad-review.pdf
Size:
2.07 MB
Format:
Adobe Portable Document Format