Branch Guided Metrics for Functional and Gate-level Testing

dc.contributor.authorAcharya, Vineeth Vadirajen
dc.contributor.committeechairHsiao, Michael S.en
dc.contributor.committeememberAbbott, A. Lynnen
dc.contributor.committeememberWang, Chaoen
dc.contributor.departmentElectrical and Computer Engineeringen
dc.date.accessioned2015-04-01T08:00:21Zen
dc.date.available2015-04-01T08:00:21Zen
dc.date.issued2015-03-31en
dc.description.abstractWith the increasing complexity of modern day processors and system-on-a-chip (SOCs), designers invest a lot of time and resources into testing and validating these designs. To reduce the time-to-market and cost, the techniques used to validate these designs have to constantly improve. Since most of the design activity has moved to the register transfer level (RTL), test methodologies at the RTL have been gaining momentum. We present a novel functional test generation framework for functional test generation at RTL. A popular software-based metric for measuring the effectiveness of an RTL test suite is branch coverage. But exercising hard-to-reach branches is still a challenge and requires good understanding of the design semantics. The proposed framework uses static analysis to extract certain semantics of the circuit and uses several data structures to model these semantics. Using these data structures, we assist the branch-guided search to exercise these hard-to-reach branches. Since the correlation between high branch coverage and detecting defects and bugs is not clear, we present a new metric at the RTL which augments the RTL branch coverage with state values. Vectors which have higher scores on the new metric achieve higher branch and state coverages, and therefore can be applied at different levels of abstraction such as post-silicon validation. Experimental results show that use of the new metric in our test generation framework can achieve a high level of branch and fault coverage for several benchmark circuits, while reducing the length of the vector sequence. This work was supported in part by the NSF grant 1016675.en
dc.description.degreeMaster of Scienceen
dc.format.mediumETDen
dc.identifier.othervt_gsexam:4683en
dc.identifier.urihttp://hdl.handle.net/10919/51661en
dc.publisherVirginia Techen
dc.rightsIn Copyrighten
dc.rights.urihttp://rightsstatements.org/vocab/InC/1.0/en
dc.subjectBranch Coverageen
dc.subjectAlgorithmsen
dc.subjectFault Coverageen
dc.subjectState-augmented Branch Scoreen
dc.subjectFunctional Test Generationen
dc.titleBranch Guided Metrics for Functional and Gate-level Testingen
dc.typeThesisen
thesis.degree.disciplineComputer Engineeringen
thesis.degree.grantorVirginia Polytechnic Institute and State Universityen
thesis.degree.levelmastersen
thesis.degree.nameMaster of Scienceen

Files

Original bundle
Now showing 1 - 2 of 2
Loading...
Thumbnail Image
Name:
Acharya_VV_T_2015.pdf
Size:
367.27 KB
Format:
Adobe Portable Document Format
Loading...
Thumbnail Image
Name:
Acharya_VV_T_2015_support_1.pdf
Size:
77.03 KB
Format:
Adobe Portable Document Format
Description:
Supporting documents

Collections